网站首页 > 百科 >pmd虚拟货币交易平台

pmd虚拟货币交易平台

Bitget下载

注册下载Bitget下载,邀请好友,即有机会赢取 3,000 USDT

APP下载   官网注册

(报告出品方/作者:中信建投证券,刘双锋、雷鸣、孙芳芳)

一、全球半导体产业迎来第三波发展浪潮

1.1 各类电子产品新旧应用需求推动半导体芯片规模不断扩大

半导体芯片在各类终端虽然应用比例不同,但是新旧应用需求共同推动逻辑、存储和 DAO 规模的不断扩大。 根据美国半导体协会(SIA)公布的数据显示,2020年全球芯片销售额 4390 亿美元,同比增长 6.5%。从长期来看,因个人装置和晶片运算设备需求不断提升,将导致半导体市场将在未来几年持续成长。除了个人装置和伺服器,半导体应用包括 AI、5G、自驾车等也都迅速发展,使2021 年半导体产业营收金额,预期将较前一年再成长 8.4%。

另外,根据全球半导体贸易统计协会(WSTS)的数据,全球半导体市场规模在 2020 年同比增长 6.8%, 达到历史最高的 4,403.9 亿美元。由于世界经济发展呈现恢复,汽车产业等将快速复苏,再加上 5G 进一步普 及扩大需求的推升等原因,全球半导体贸易统计协会(WSTS)预测,2021年全球半导体产业市场规模将达到 4,882.7 亿美元,超过 2020 年的 4,403.9 亿美元,创出历史新高。

半导体分为逻辑、存储、DAO,受益工业、汽车等领域,DAO 市场规模增长最快且达到 12.55%。半导体器 件有 30 多种,但业界一般分为三大类别:逻辑、存储、DAO。以 DAO 类别为例,在智能手机和消费电子中的价 值占比约 1/3,而在工业和汽车应用领域占比高达 60%。由于全球经济形势的逐渐改善以及市场对具有新功能的 系统的需求,例如人脸识别、三维成像、机器视觉、利用多传感器实现自动控制、嵌入式人工智能、5G 手机服 务、自动驾驶需求等,DAO 将保持持续增长且增长最快。根据 WSTS 的预测数据,2021 年度 DAO 市场规模将增 长 12.55%,达 1517 亿美元,并占到全球半导体市场规模的 31%。

逻辑器件是处理“0”和“1”的数字芯片,是所有设备计算和处理的构建模块,约占整个半导体价值链的 42%。逻辑类别主要包括:微处理器(比如 CPU、GPU 和 AP)、微控制器(MCU)、通用逻辑器件(比如 FPGA), 以及连接器件(比如 WiFi 和蓝牙芯片)。

存储器芯片用来存储数据和代码信息,主要有 DRAM 和 NAND 两大类,约占整个半导体价值链的 26%。DRAM 只能暂时存储数据和程序代码信息,存储容量一般比较大;NAND 俗称闪存,即便掉电也可以长期保存数据和代码,手机的 SD 卡和电脑的 SSD 固态硬盘都使用这类存储器芯片。

DAO 代表分立器件、模拟器件,以及其它类别的器件(比如光电器件和传感器),约占整个半导体价值链 的 32%。二极管和晶体管都是分立器件;模拟器件包括电源管理芯片、信号链和 RF 器件;其它类别的器件虽然 占比不高,但也不可忽视(计算机和电子设备缺少一个器件就无法工作),比如传感器在新兴的物联网应用中 越来越重要。

全球半导体若按这三大类别细分,总体销售额按照应用划分如下:智能手机占 26%;消费电子占 10%;PC 占 19%;ICT 基础设备占 24%;工业控制占 10%;汽车占 10%。

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

通信、计算机、消费为半导体下游需求前三大市场,多行业均存在旺盛芯片需求。2019 年,通信和计算机 是芯片行业最大的两个细分市场,两大市场合计占比超过 70%。其中通信芯片占到了芯片市场总量的 35.6%,而 计算机芯片的占比也同样为 35.6%。排在第三的消费芯片占比 11.8%,汽车芯片占 8.7%,工业和其他应用的芯 片占 8.3%。

1.1.1 智能手机“硅含量”大幅提升,5G、快充等芯片用量翻倍增长

手机市场回暖复苏,全球 5G 渗透率持续提升。根据 IDC 数据,2021 年,智能手机出货量预计将达到 13.8 亿台,比 2020 年增长 7.7%。这一趋势预计将持续到 2022 年,年同比增长 3.8%,出货量总计 14.3 亿台。展望 未来,IDC 预计,个位数的低增长将持续到 2025 年,五年 CAGR 为 3.7%。全球 5G 手机渗透率持续提升,预计 2021 年全球将出货 5-5.5 亿部 5G 手机。

5G 终端的“硅含量”大幅提升,促进半导体需求。根据我们的测算,5G 手机相对 4G 手机,SoC 的面积大 25%, RF Transceiver 面积翻倍,PMIC(电源管理芯片)颗数 2-3 倍,射频前端 1.5-2 倍。例如高通 4G 平台需要 3-4颗PMIC,而骁龙 888 平台需要 8-9 颗,下一代平台需再增加一颗,联发科天玑 1000 平台需要 8-9 颗(不包括摄像 头和快充)。5G 手机渗透率持续提升,促进半导体需求大幅增长,对产能的占用也大幅提升。

快充、光学升级等新应用也推动半导体增速。快充能够为消费者带来良好体验,渗透率迅速提升,目前苹 果从 5V*1A、5V*2A 升级 18-20W 快充,安卓甚至开始推 65W 最高达 120W 以上的快充头,快充会多一颗同步 整流芯片和一颗协议芯片,ACDC 的面积也会变大,对功率器件的需求同步增加。另外,光学升级仍为手机厂商 竞争的焦点,1 亿像素大底开始渗透到中低端机型,面积越大的 CMOS 图像传感器芯片要求更高的芯片制程和 更大的晶圆面积,对产能的需求也会提升。

根据我们的测算,下游电源管理芯片(2021/2022 年增速分别为 10.2%、9.2%,下同)、CMOS 图像传感芯 片(10.9%、5.6%)、指纹识别芯片(17.6%、15.8%)、显示驱动 IC(7.2%、7.1%)、射频芯片(10.5%、9.1%) 以及功率器件(8.1%、6.1%)等模拟芯片和功率器件的需求量持续增加。

1.1.2 汽车、工控等行业需求从疫情后恢复,新能源车带动功率半导体需求

汽车需求恢复,新能源车中功率半导体用量将出现 10 倍以上增长。政策与成本双重推动下,电动车将逐步 替代燃油车,我们预计 2030 年,xEV(各类电动车,包括轻混)渗透率将达到 60%。新能源汽车普遍采用高压 电路,当电池输出高压时,需要频繁进行电压变化,对电压转换电路需求提升,此外还需要大量的 DC-AC 逆变 器、变压器、换流器等,这些对 IGBT、MOSFET、二极管等半导体器件的需求量很大。功率半导体单车价值量 从传统车的 40 美元将提升至 400-500 美元。根据汽车销量数据,中国与全球新能源汽车进入快速增长阶段,对 功率半导体需求迅速扩大。

工业需求随着全球 GDP 水平修复而复苏。2020 年由于疫情冲击,中国 GDP 同比增长 2.3%,美国下滑 3.5%; 2021 年根据 IMF 预测,中国 GDP 增长 7.9%,美国增长 1.1%。全球疫情得到控制,生产活动恢复,工业半​导​ 体需求复苏。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

1.1.3 PC、平板、物联网、矿机等需求增长

疫情推动 PC、平板等存量市场大幅增长。平板及 PC 原本为低个位数增长甚至略有下降的存量市场,在此 轮疫情的推动下出现需求爆发。IDC 预计 2021 年全球 PC 市场出货量同比增速达到 18.2%,主要驱动力为疫情 带动的新应用场景大幅拉动了 PC 需求,其中 2C 端和 2B 端的短期渗透率和长期更换频率都发生了基本面的变 化,新应用场景带来长期驱动,IT 预算也会迎来增长。

虚拟币带动矿机等需求,加剧了行业缺货情况。自 20 年下半年以来,虚拟货币价格攀升,带来挖矿热潮。 目前比特币等虚拟货币采用 ASIC 矿机,以太坊等虚拟货币采用显卡矿机。矿机与显卡需求高涨对于先进制程 的需求较为明显,也部分挤占了 PC 等产品的显卡供应,加剧了行业缺货情况。目前尽管币价冲高回落,但此 前的矿机订单均已排满至 2022 年。

小家电、物联网等市场下游需求旺盛。海外宅家需求推动家电类产品需求,2020 年,全球小家电市场的收 入为 2241 亿美元,同比增长 11%。同时智能家居成为确定性的趋势,智能化的全屋互联、全场景生态已经深入 人们的生活,物联网终端连接数也稳定增长。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

1.2 成熟制程芯片市场仍然巨大并将成为主要的市场增长点

DAO 成熟节点需求强劲,逻辑芯片先进成熟制程全覆盖。成熟制程进入门槛相对较低,下游应用领域广阔, 将成为各晶圆厂商角逐主赛场。根据 SIA 和 BCG 的数据,2019 年,28nm 以上成熟节点占整体产能的比例为 60%, 其中 100-180nm 和 180nm 以上节点占整体产能的比例相同均为 19%。成熟节点应用分布方面,28-45nm 节点上, DAO 占整体应用产能的比例较小为 7%;55-90nm 节点上,DAO 占整体应用产能的比例有所上升为 33%;100-180nm 节点上,DAO 占整体应用产能的比例为 40%;180nm 以上节点,DAO 占整体应用产能的比例最大为 76%,随着芯 片节点增大,DAO 芯片应用市场不断上升。逻辑芯片在成熟节点上占比减小,2019 年,180nm 以上节点中逻辑 芯片占整体应用产能的比例为 24%,DAO 为为成熟制程主要的应用。

2021 年成熟节点产能短缺将延续,更多晶圆厂加速聚焦特色工艺。节点工艺越先进功耗比越小,但面临上游 IC 设计费用越来越大的短板。根据 Counterpoint 的数据,2020 年,全球 40nm 以上成熟节点产能市占率前 5 的晶圆代工厂商分别为台积电(28%)、联华电子(13%)、中芯国际(11%)、三星(10%)、格芯(7%)。2020 年成熟节点产能严重短缺,虽然 2021 年以来各大晶圆厂正积极扩产,但从供应链结构协调周期来看,成熟节点 芯片短缺形势到 2022 年才会有所缓解。面对成熟节点的多样化需求,非头部晶圆厂联华电子、格芯、TowerJazz、 世界先进、华虹宏力更加注重特色工艺代工业务的拓展,在 MCU、模拟电路和分立器件上持续投入,持续提升 产品竞争力。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

40nm 以上成熟节点市占率稳定,各节点市场趋于均衡态势发展。成熟节点主要应用于中小容量的存储芯片、 模拟芯片、MCU、电源管理、模数混合、传感器、射频芯片。根据 IC insight 的数据,2020 年全球芯片产能在 2,109 万片左右,其中 40nm 以上节点产能市占率为 40.8%;10nm-20nm(不含)节点产能市占率为 38.4%;10nm 以下节点产能市占率为 10%。2022-2024 年,全球芯片产能预计为 2408/2515/2599 万片,其中 40nm 以上节点产 能市占率预计分别为 38.2%/37.4%/37.2%;2019-2024 年 40nm 以上成熟节点产能 CAGR 为 2.59%,维持良好市场 需求。2024 年,10nm 以下先进节点产能市占率预计为 29.9%,主要由于 5G、无人驾驶、高运算等芯片需求增加 所致。

1.3 缺货涨价潮下景气周期持续时间有望延续至 22 年

行业缺货涨价潮持续,并逐渐传导至下游终端。2021 年春节之后,涨价潮从 8 英寸蔓延至 12 英寸,各半 导体大厂纷纷发布涨价函,芯片交期进一步拉长。博通产品交期 50 周,联发科、瑞昱均为 20-30 周以上。进入 二季度后,缺货和涨价潮持续,半导体厂商纷纷发布涨价通知。同时,芯片缺货传导到汽车、手机、PC 等终端 产品,下游本田、日产、丰田、福特、大众、通用等整车厂均相继发布停产或减产规划。此前 IHS 预计 2021 年 一季度由于芯片短缺所引起的轻型汽车减产数量将达 67.2 万辆,预计二季度的汽车减产 130 万辆。

我们认为本轮半导体景气周期持续度将超出此前预期,预计延续至 2022 年。我们认为本轮景气周期的本质 是行业需求的高速增长,而不仅仅是库存周期。展望长期趋势,从需求侧,5G 拉动终端“硅含量”是确定性趋势, 并将随着 5G 手机、新能源车、物联网等行业增长而继续呈现旺盛需求,从供给侧,2022 年之后,全球晶圆产 能将陆续释放,带来供需逐步趋于平衡,逐步缓解涨价趋势。

二、半导体技术多方向的发展给装备带来多维度的发展空间

全球数字经济在国民经济中地位持续提升,“连接”与“智能”是最基本的逻辑内核,半导体产业的支撑作用 越发越重要。每 1 美元半导体芯片的产值可带动相关电子信息产业约 10 美元产值,并带来约 100 美元的 GDP。 根据 Gartner 及 WSTS 公布的 2020 年产值,全球半导体设备为 710 亿美元,带来半导体芯片产值为 4400 亿美 元,对应的 IT 相关电子信息产业约 3.7570 万亿美元,带来的 GDP 产值为 35 万亿美元。

随着晶体管的尺寸逐步趋近物理极限,半导体技术正在向先进工艺,特色工艺,先进封装及三维集成三个 方向推动半导体产业的可持续发展,同时也为设备的发展带来了更广阔的发展空间。

2.1 逻辑芯片技术代不断演进和多样化需求使装备需求不断增加

晶体管在芯片中起到“开关”作用,能通过影响相互的状态传递信息。晶体管的栅极控制着电流能否从源 极流向漏极,电子流过晶体管相当于“开”,电子不流过晶体管相当于“关”。随着晶体管尺寸缩小,源极和 栅极间的沟道不断缩小,当沟道缩短到一定程度时,即便不加电压,源极和漏极也因间距过小而互通,即产生 “漏电”现象,晶体管则失去“开关”的功能,无法实现逻辑电路。

逻辑器件内部结构拆解:对于逻辑器件,其工艺流程是由一层层制备起来的,可以分为前道工序和后道工 序。在前道工艺中,首先在硅衬底上划分两个区域,一为制备晶体管的区域,另一位离子注入后实现 N 型和 P 型的区域。之后,是制作栅极,随后仍为离子注入,以此来完成结构中每一个晶体管的源极(source)和漏极(drain), 实现硅衬底上的 N 型和 P 型场效应晶体管。之后进行的工艺被称为后道工艺,建立若干层的导电金属线,不同 的金属线之间用柱状金属进行相连。由于目前大多选用 Cu 作为导电金属,后道工序也可以被称为 Cu 互联。

后道工序的具体步骤(BEOL)(Wikipedia)首先对源极及源极、漏极和多硅区进行硅化,随后添加电解 质,并对其进行 CMP 处理。在 PMD 中打孔进行接触,添加金属层,之后添加第二层电介质(金属间电介质), 通过介电层形成通孔,将较低的金属和较高的金属连接,通过 CVD 工艺填充通孔。重复添加金属层、添加金属 间电介质、形成通孔的步骤,直至获得所有金属层。最后添加钝化层,来保护微芯片。

新的集成技术在晶圆衬底上也添加了很多新型功能材料,例如:前道(FEOL)栅极的高介电常数材料,它能 有效地增大栅极的电容并减少漏电流。前道(FEOL)中的关键光刻层是 FIN 和栅极(gate)。后道(BEOL)的关键光 刻层是 V0/M1/V1/M2,其中 V0/V1 是通孔层,M1/M2 是金属层。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

摩尔定律的续命关键结构:晶体管结构从平面晶体管---FinFET—GAA,22nm、14nm、7nm、3nm……. 节点持续攻克。基于平面(Planar)晶体管制程技术发展到 22nm 以下节点后,平面晶体管开始遇到源极漏极间距过近的瓶颈。英特尔在 2011 年率先转向 22nm FinFET。FinFET 的立体构造将漏极和源极由水平改为垂直, 沟道被栅极三面环绕,不仅增厚绝缘层,而且增加接触面积,避免漏电现象的发生。随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶体管(GAAFET,Gate-All-Ground FET)成为新的 技术选择。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用于 3nm 芯片。不同 于 FinFET,GAAFET 的沟道被栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流 的控制,从而优化栅极长度的微缩。

集成电路制程越先进,产线投资规模越高:在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路 线宽不断缩小,导致生产技术与制造工序愈为复杂,制造成本呈指数级上升趋势。当技术节点向 5 纳米甚至更 小的方向升级时,普通光刻机受其波长的限制,其精度已无法满足工艺要求。因此,集成电路的制造需要采用 昂贵的极紫外光刻机,或采用多重模板工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积 和刻蚀次数显著增加,意味着集成电路制造企业需要投入更多且更先进的光刻机、刻蚀设备和薄膜沉积设备等, 造成巨额的设备投入。根据 IBS 统计,随着技术节点的不断缩小,集成电路制造的设备投入呈大幅上升的趋势。 以 5 纳米技术节点为例,其投资成本高达数百亿美元,是 14 纳米的两倍以上,28 纳米的四倍左右。巨额的 设备投入只有具备一定规模的头部集成电路制造厂商可以负担,其进一步加剧了集成电路制造行业向头部集中 的趋势,为头部集成电路制造企业的发展创造了良好机遇。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

光刻机进入 EUV 时代,逻辑代工厂龙头台积电资本开支显著提升:公司在 2020Q4 法说会表示, 2021 年 资本预算预计在 250 亿至 280 亿美元之间,其中约 80%的资本预算将用于先进的工艺技术,包括 3 纳米、5 纳米和 7 纳米。大约 10%将用于先进的包装和面膜制造,约 10%将用于特殊技术。根据公司历年来资本支出以 及先进制程进度,7nm 为 DUV 光刻机进入 EUV 光刻机关键的节点,台积电 N7+采用 EUV 光刻机设备,2019Q2 季度 N7+客户终端产品量产,由于 EUV 光刻机金额非常昂贵,导致 2019 年的资本开支同比增长 65%,随着后 续 5nm、3nm 对 EUV 光刻机需求更大。

台积电各制程迭代周期稳定,引领全球最先进工艺。台积电为全球晶圆代工行业龙头,市场份额达到 50% 以上。从各个制程产品的收入占比可以看出,台积电各制程工艺的生命周期表现相当稳定,大概每 2-3 年就有 新一代制程实现量产。随着新制程的推出,呈现出新制程产能爬坡时间越来越短,而制程间隔时间逐步拉长的 趋势。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

2.2 NAND Flash 技术发展给设备带来机遇

3D NAND 制造工艺:具有垂直结构存储单元的 3D NAND,可以实现更高的存储容量。在制造过程中,首 先步骤 a)在硅衬底上沉积薄层材料,如:二氧化硅和氮化硅交替沉积,两种材料均为绝缘体,氮化硅是牺牲 层,将会被移除。每一层必须高度均匀且极度光滑来以便确定垂直通道孔的位置。步骤 b)进行通道孔的刻蚀, 并在步骤 c)中对通道中进行多晶硅沉积。然后,在步骤 d)中,用氧化物(二氧化硅)填充通道的中心,比固 体多晶硅通道具有更好的子阈值特征和阈值电压分布。在步骤 e)中,刻蚀出狭缝,以将彼此各列分开。在步 骤 f)中,从侧面选择性地刻蚀,去除掉氮化硅层,留下较为窄的结构。接着,在步骤 g)中覆盖二氧化硅隧道 电介质层,在步骤 h)中覆盖氮化硅电荷捕获层,在步骤 i)中覆盖高氧化铝高介电常数的电介质。最后,在步 骤 j)中,用氮化钽填充结构中大部分缝隙和间隙,在步骤 k)中,将其与高介电常数的电解质一起从缝隙的中 心刻蚀掉。

3D NAND 堆栈层数越多,高楼越盖越高,容量就越大,存储密度就越高,为 3D 闪存的核心竞争力。2015 年还只有 32 层存储单元,仅仅四五年之后,我们看到了 96 层、128 层、112 层、144 层、176 层等结构的发布。 到 2020 年底,美光、SK 海力士公开宣布在 176 层 3D NAND 上率先取得突破进展。TechInsights 预计 2021 年, 各先进大厂进入 192 层以上制程,到 2023 年将会达到 300 层以上。此外,随着 3D NAND 层数不断堆叠,设备 投资金额持续增加,而刻蚀、沉积等设备是 3D NAND 中持续微缩的关键,所以刻蚀、沉积等设备随着层数的 增加而增加。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

NAND 闪存单元根据其可以存储的位数进行分类为 SLC、MLC、TLC、QLC,目前三星、铠侠、西部数 据、美光、SK 海力士、英特尔均推出更高层 QLC 3D NAND,主流厂商 176L 已经实现量产。正常来说,存储 单元 SLC>MLC>TLC>QLC 性能&可靠性越来越弱,价格越来越便宜,容量密度越来越高。

SLC(全称 Single-Level Cell)每个 Cell 单元只存储 1bit 信息,只有 0、1 两种电压变化,结构简单, 电压控制也快速,反映出来的特点就是寿命长,性能强,P/E 寿命在 1 万到 10 万次之间。

MLC(全称是 Multi-Level Cell)每个 cell 单元存储 2bit 信息,电压有 00,01,10,11 四种变化,所以它比 SLC 需要更复杂的的电压控制,加压过程用时也变长,意味着写入性能降低了,同时可靠性也下降了,P/E 寿命根据不同制程在 3000-5000 次不等,有的还更低。

TLC(Trinary-Level Cell)每个 cell 单元存储 3bit 信息,电压从 000 到 111 有 8 种变化,容量比 MLC 再次增加 1/3,成本更低,但是架构更复杂,P/E 编程时间长,写入速度慢,P/E 寿命也降至 1000-3000 次,部分情况会更低。

QLC(Quad-Level Cell)每个 cell 单元存储 4bit 信息,电压从 0000 到 1111 有 16 种变化,容量增加了 33%,但是写入性能、P/E 寿命会再次减少。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

2.3 DRAM 技术发展和创新给设备带来机遇

目前 DRAM 1x/1y 纳米制程趋于成熟,1Z,1α 技术开始布局。在未来几年为了维持 DRAM 技术发展,满足 大数据时代的需求,DRAM 在新材料、新架构上会有更多的选择,也逐步导入 EUV 及 HKMG 以缩小线宽及加强外 围电路性能等。DRAM 的尺寸变化对刻蚀机、ALD、炉管、清洗等设备需求工艺步骤需求不断增加。

DRAM 前后十年技术演变,三星 1Znm 率先导入 EUV,原厂 2021 年将进入 1αnm 技术新阶段。DRAM 制程越先进,总体对应的容量密度和性能明显提升,功耗将下降:

2010-2014 年三星、海力士、美光处于 20nm 级(2xnm,2ynm,2znm)技术工艺,x,y,z 表示精密度 依次提高,如果 2xnm 代表 29nm,那么 2ynm 大概是 25nm 左右 ,2z 就是 20nm 左右。

三星 2015 年率先进入第一代 10nm 技术,在内存工艺进入 20nm 之后,由于制造难度越来越高,内存 芯片公司对工艺的定义已经不是具体的线宽,而是分成了 1X、1Y、1Z,大体来说 1Xnm 工艺相当于 16-19nm 级别、1Ynm 相当于 14-16nm,1Znm 工艺相当于 12-14nm 级别。

三星和海力士大规模导入 EUV 光刻机,DRAM 制程进入 1α 节点。根据美光公布的路线图,实际上 1Znm 之后还会有 1αnm、1βnm、1γ、1δ。2020 年三星、美光、SK 海力士等 DRAM 技术主要是从 1Ynm 全面向 1Znm 推进,这也是 DRAM 第三代 10nm 级技术,到第四代 10nm 级之后,将会大规模 的导入 EUV 工艺。在推动 DRAM 制程向 1α 节点演变中,除了美光暂时没有采用 EUV,三星与海力 士均规划引入 EUV 工艺,美光于今年 6 月开始批量出货 1α 级工艺 LPDDR4x 和 DDR4;SK 海力士于 今年 7 月开始量产第四代 10nm(1α)级工艺的 8Gb LPDDR4 移动端 DRAM 产品。三星也规划将在 2021 年大量生产基于第四代 10nm 级(1α)EUV 工艺的 16Gb DDR5/LPDDR5。

2.4 满足 PPAC 需求的先进封装技术推动装备需求增加

半导体封装技术演进路径:根据技术先进性,封装技术可分为传统封装技术和先进封装技术两大类。传统 封装技术包括 DIP(插入式封装)、PQFP(塑料方块平面封装)、PGA(插针网格阵列封装)、BGA(球栅阵列 封装)、QFN(方形扁平无引脚封装)等,先进封装技术包括 FC、WLP、FO、3D 封装、系统级封装等。随着晶圆 代工制程不断缩小,摩尔定律逼近极限,先进封装是后摩尔时代的必然选择。

应用材料提出 PPAct 概念,先进封装是后摩尔时代必然选择。应对物联网、大数据和人工智能 (AI)需求, 芯片制造技术需要具备高功率、优良性能、充分利用面积、低成本、短周期等要求,被应用材料称为 PPAct 概念,即在后摩尔时代工艺制程压缩受限下,仅靠压缩工艺尺寸提高芯片性能已经变得非常困难,因此通过先进 封装技术提高提高芯片功率、增强产品性能、有效利用晶圆面积、降低制造成本的特点,通过更高度的集成和 精确的设计,在提升芯片性能的同时缩短上市周期,达到良好的效果。

根据 Yole 的数据,从技术分类来看,3D 堆叠封装、嵌入式芯片封装、扇出型封装在2019 年到 2025 年的增速更高,CAGR 分别为 21%、18%、16%。扇出型技术进入移动设备、网络和汽车领域;3D 堆叠技术进入 AI/ML、HPC、数据中心、CIS、MEMS/传感器领域; 嵌入式芯片封装进入移动设备、汽车和基站领域。从晶圆数来看,2019 年约 2900 万片晶圆采用先进封装,到 2025 年增长为 4300 万片,年均复合增速为 7%。其中倒装技术占比最高,3D 封装增速最快。从市场规模来看 2019年全球先进封装市场规模约 290 亿美元,预计 2025 年增长到 420 亿美元,年均复合增速约 6.6%,高于整体封装市场 4%的增速和传统封装市场 1.9%的增速。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

TSMC、Intel 等龙头晶圆厂商持续推出先进封装技术,先进封装与芯片制造融合趋势明显。目前,台积电 已经推出 2.5D 的 CpWoS、扇出型晶圆 InFO 和 3DFaric 三类先进封装技术,后者主要将逻辑、存储芯片与 SoC 集 成于一体,应用于 IoT、5G、智能手机等领域,主要具有加速带宽、降低延迟和提高电源效率的特点。此外,台积电与 Google 和 AMD 等厂商积极开展合作,共同研发 3D 堆栈晶圆级封装产品,并计划于 2022 年实现量产, 该技术可以将不同类型的芯片堆栈至一个封装中,从而推动单个产品在功能、尺寸和效率的全方位提高。

其次, 英特尔也在积极推动 3D 先进封装技术的研发,其“混合结合技术”可以实现 10 微米及以下的凸点间距,从而 产生互联密度小而简单的集成电路,并且拥有更强的带宽、电容和低功耗。此外,三星方面也已开发出 12层3D-TSV 技术,可堆叠 12 个 DRAM 芯片。同时,三星还研发了“X-Cube”3D 封装技术,可以实现不同芯片的有效堆叠,并且已经可以用于 5-7nm的半导体制程工艺。国内企业中,长电科技与中芯科技已在合力建设封装测 试厂,聚焦于先进封装设备,通富微电、天水华天等封测厂商也在积极布局。目前,长电科技已经具有 Fan-out、 WLP、2.5D/3D 等先进封装技术,其先进封装业务占总营收的 90%以上,在该领域实现了较为全面的布局。

2.5 第三代半导体产业迎来巨大发展机遇

由于第三代半导体材料具有热导率高、电导率高、临界击穿电压高、高频高效等特点,以 GaN、SiC 制作而 成的衬底和外延片可以在高压、高温强辐射等苛刻环境下使用,很好地满足集成电路技术发展的客观条件,广 泛应用于 5G、消费电子和新能源汽车等领域。经过 LED 技术产业的发展,宽禁带半导体的产能有了较大提升, 其市场也较为成熟,成本处于下降期。基于此,第三代半导体所制作的电子器件,将在集成电路等领域发挥重 要作用。第三代半导体已经被列入国家 2030 规划和“十四五”国家研发计划,是我国在未来需要重点研发的产 业。为加快推进第三代半导体材料行业的发展,国家先后印发《重点新材料首批次应用示范指导目录(2019 版)》、《国务院关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知》等产业支持政策;地方也通过配 套政策实施,推动各地半导体材料产业的研发。

化合物半导体材料以砷化镓(GaAs)、氮化镓(GaN)、碳化硅(SiC)为主,因其材料特性适用于不同场 景。(1)绝缘体击穿场强:GaN > SiC > GaAs >Si。在耐压相同的情况下,击穿场强愈高,愈能缩减器件大小, 降低导通电阻。(2)电子饱和漂移速度:GaN > SiC > GaAs > Si。电子饱和漂移速度愈高,愈能提高开关频率, 有助达成电感、电容等周边零件小型化。(3)热导率:SiC > GaN > Si > GaAs。热导率愈高,愈有利于缩小散热 零件体积,愈能适应高温的工作环境。GaAs 在频率和耐压性能方面都远优于 Si,是光电和射频领域的重要材料; GaN 的开关频率高、禁带宽度大、导电性能优良等性质在生产功率器件方面优势明显,同时其高频、高功率密 度、高带宽等特性完美符合 5G 时代射频芯片性能需求;SiC 主要作为高功率半导体材料,应用于汽车以及工业 电力电子,在大功率转换应用中具有巨大的优势。

EVs/HEVs、充电桩、快充等新兴应用大力推动功率器件领域的市场发展,第三代半导体产业迎来巨大发展 机遇。据 Yole 预测,功率器件市场年复合增长率达到 4.3%,其中 SiC 器件市场预计以 30%的复合增长率增长, 在 2025 年将达到 25 亿美元,RF GaN 器件市场将 2025 年增长至 20 亿美元。SiC 长晶、外延、刻蚀、高温氧化 退火、GaN 刻蚀、PECVD 等工艺设备需求将持续增长。

USB-C 接口与快充协议 USB-PD 将统一,手机龙头争相布局,GaN 快充市场空间广阔。现在移动终端包括中 高端智能手机、iPad、PC 都在慢慢走向 USB-C 的接口,同时支持快充协议 USB-PD,USB-C 与快充协议 USB-PD 结合在整个行业的接受度也比较高。2019 年,非苹果阵营的智能手机已经全面搭载 Type-C 连接口,支援全新的 USB-PD 有线快充技术。目前,vivo、小米、华为均已推出标配 GaN 快充。现阶段 GaN 快充价位依然较高,未来 随着工艺成熟度提高和规模化生产,价格将逐渐下降,GaN 快充在增量端和存量端的渗透率都将逐步提升。我 们估计2020年全球GaN充电器市场规模为34亿元,2025年将快速上升至231亿元,2020~2025年CAGR达46.70%。 快充端 GaN 功率器件价值量将从 2020 年的 18 亿元上升至 2025 年的 92 亿元,2020~2025 年 CAGR 达 38.58%。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

政策加速新能源汽车渗透,市场保持高景气,GaN 和 SiC 功率器件对 Si 基功率器件替代率逐渐上升。2020 年 10 月,为推动新能源汽车产业高质量发展,加快建设汽车强国。中汽协预测 2024 年我国新能源汽车销量将达到 538 万辆,2019-2024 年 CAGR 达 34.8%。 新能源汽车中功率器件约占整车成本的 3%~4%,随着 GaN 与 SiC 功率器件技术成熟度提升和规模化商用,将部 分替代 Si 基功率器件。我们估计 SiC 基功率器件价值占比将从 2019 年的 0.2%上升到 2024 年的 0.5%,2024 年 市场规模达 67.3 亿元;GaN 基功率器件价值占比将从 2019 年的 0.02%上升至 2024 年的 0.2%,2024 年市场规 模达 26.9 亿元。

2.6 新型显示在应用驱动下将带来新一轮设备增长

发光效率提升、成本下降带动 Micro LED/Mini LED 产业应用渗透到大众市场,据 IHS Markit 预测,2026 年 Micro LED 显示器的出货量将会达到 1550 万台。将带动 LED 设备市场,GaN/GaAs 刻蚀、多片大腔体 PSS刻蚀、AlN PVD 等设备将获得规模应用。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

MiniLED 产业链已逐步成熟,进入放量的元年。MiniLED 目前技术上主要关注芯片制造、芯片封装、基板键 合、驱动方案、转移技术、检测修复。各环节技术逐步成熟,MiniLED 基本具备量产条件,产业链进入业绩兑现 期。

Mini LED 随着技术成熟度提升以及成本逐渐降低,将逐步放量。Mini/Micro LED 技术自 2006 年开始萌芽, 2012 年导入市场,2018 年起 Mini LED 逐步产业化,目前处于成长期。随着 Mini LED 产业链各环节技术瓶颈 逐渐克服,整体成本逐渐降低,终端产品放量较为明确。2021 年,Mini LED 将在苹果和三星的引领下逐步放量, 供应链相关厂商逐步有望进入业绩兑现期。

大尺寸显示方面,Mini LED 相对于 OLED、LCD 显现出较高性价比。根据 LEDinside 数据,搭载 AM 驱 动的 Mini LED 65 寸背光电视售价约 759 美元,已低于 65 寸 OLED 电视的 781 美元售价,高于传统 LED 直显。 Mini LED 在高阶电视应用上,采用约 16000颗Mini LED,搭配 2000 区的分区控制,成本仍比高阶 OLED 电视 面板低 15%,具有成本优势。中阶产品中,Mini LED 的颗数减少至 10000~12000 颗,搭配 500 区的分区控制, 成本仅高出入门直下式 LCD 30%~50%。

苹果、三星等大厂推动下,Mini LED 渗透率有望迅速提升。鉴于目前 Mini LED 售价与成本已经与 OLED 成本相当,与 LCD 背光方案差距不太大,并且相关技术逐渐克服瓶颈以及整体成本逐步降低,Mini LED 已具 备替换传统 LCD 和 OLED 的条件,应用领域涵盖笔记本及更大尺寸显示屏。2021 年苹果春季发布会上,发布 了搭载 Mini LED 背光屏幕的新款 iPad Pro,采用超过 1 万颗 Mini LED 灯珠,拥有 2500 个局部调光区和极致动 态范围。我们预计苹果在 2021 年将出货 1000 万台以上的 Mini LED 设备,2020 年随着 Mini LED 的成本降低, 渗透率将迅速提升,成为高端电视、电竞笔电、创作平板等应用场景的重要选择。三星等 TV 厂商也大力推动 Mini LED 在大屏显示市场的应用。Mini LED 渗透率有望迅速提升。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

预计 Mini LED 市场未来 5 年爆发式增长,应用领域拓宽至车载、手机、可穿戴等。预计包括背光和直显 的 Mini LED 市场规模将从 2020 年的 0.18 亿美元增长至 2025 年的 14.27 亿美元,五年复合增速 140%。其中, 电视墙、电视、IT 显示率先爆发,预计电视墙市场规模从 2020 年的 0.11 亿美元增长到 2025 年的 6.14 亿美元, 复合增速 153%;电视从 2020 年的 0.03 亿美元增长至 2025 年的 3.12 亿美元,复合增速 180%。此外,车载显示、 手机/平板显示、头戴式显示也会逐步起量。从产品结构上看,Mini LED 电视墙、电视、车载、IT 显示领域渗 透较快。

三、半导体高景气度带动半导体设备周期向上

3.1 全球晶圆厂资本开支增加,半导体设备周期向上

全球经济增速放缓,半导体行业尤其是半导体设备行业逆势上涨。 2020 年在全球贸易争端不断、世界经 济放缓、疫情影响持续蔓延的情况下,总的经济情况放缓,半导体行业保持高增长,特别是全球半导体投资(Capex) 提高了 7.6%,而其中的全球晶圆厂设备增加了 13.9%,领跑整个高科技产业。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

全球半导体设备销售创历史新高,中国大陆、中国台湾、韩国为领先地区。SEMI 全球半导体设备市场统 计报告显示,全球半导体制造设备销售额从 2019 年的 598 亿美元猛增 19%,达到 2020 年 712 亿美元的历史新 高,预计 2021 年半导体设备市场规模同比增长 12%至 797 亿美元。 2020 年中国大陆、中国台湾和韩国将成 为支出的领先地区。预计在 2021 年和 2022 年,韩国将在存储器恢复和逻辑投资增加的背景下,在半导体设备 投资方面领先于世界。

北美半导体设备厂商销售额保持强劲增长,维持两年以来上涨趋势。从历史经验来看,北美半导体设备厂 商月销售额对于全球半导体行业景气度分析具有重要意义,一般北美半导体设备销售额水平领先全球半导体销 售额一个季度。我们选取 2017-01 至 2021-06 时间段进行复盘,2019 年 4,北美半导体设备月度销售额为 19.22 亿美元,同比下降 28.50%,同比为历史最低点,全球半导体月底销售额同比历史最低点时间在 2019 年 8 月份, 同比下降达到 15.90%,销售额为 342 亿美元。2021 年 1 月,北美半导体设备月度销售额突破 30 亿美金,达到 30.4 亿美元,月销售额持续强劲上涨,至 6 月份销售额达到 36.71 亿美元,同比增长近 58.40%,同期全球半导 体月销售达到 445.30 亿美元,同比增长 29.20%。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

资本支出大幅增加,龙头台积电未来三年资本开支将高达 1000 亿美金。全球晶圆制造支本开支近几年维持 在 350 亿美元以上保持高位,占半导体资本开支的约 50%,晶圆代工台积电、联电、中芯国际、华虹资本开支 大幅增加,其中台积电在 2021Q1 法说会表示, 公司将上修 2021 年资本支出至 300 亿美元,同比 2020 年大幅 增长 74.4%,其中 80%用于 3 纳米/5 纳米/7 纳米等先进制程,同时,公司预计未来 2 年资本支出将高达 700 亿 美金,未来 3 年资本支出将高达 1000 亿美金。中芯国际支出将维持高位,2021 年全年将达到 43 亿美元,其中 大部分用于成熟制程扩产,联电和华宏同期也在 2021 年大幅度增加资本开支。

伴随着全球资本开支增大,半导体设备周期向上。随着景气度及全球资本开资增加,前七大设备厂商单季 度总和从 2019Q2 触底反弹,从 133 亿美元增长至 2021Q2 的 230 亿美元,尤其在 2021Q1 增长最强劲,ASML 同比增长接近 80%,泛林半导体同比增长为 54%,应用材料同比增长为 41%,东京电子同比增长为 32%,科磊 半导体同比增长为 27%,主要由于 2020Q1 由于疫情冲击下,开工不足需求下降,导致单季度业绩基数低。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

2021 年全球半导体资本投入 Capex 预计同比增长 28.4%,达到 1419 亿美元。全球半导体资本投入(Capex) 2020 年较 2019 年增长 11.2%。根据 Garner 预测,2021 年会增加 28.4%,增速非常快,尤其是 DRAM 将增加 了 46.3%,其次 NAND 将增长 29%,Logic 将增长 29.5%。在资本开资大幅增加下,半导体设备规模从 2019 年到 2022 年持续的增长,平均的增长速度(CAGR)达到 12.88%,估计到 2022 年,全球半导体设备市场规模 达到 860 亿美元。

3.2 半导体设备国产化率低,国内半导体厂商迎黄金机遇期

中国半导体设备产业蓬勃发展,2020 年市场占比位居全球第一。受益于企业产能扩展及国产化的稳步推进, 中国半导体设备销售额近年来一直保持持续增长。2020 年中国半导体设备销售额为 187.2 亿美元,同比增长 39%,中国在 2018-2020 年全球市场份额分别为 20.32%、22.51%、26.30%,位列全球 第二、第二、第一席位。但受限于产业起步晚、技术门槛高等问题,中国在刻蚀设备、热处理设备、清洗设备 等领域国产化率相对较低,在光刻设备、离子注入设备、涂胶显影设备领域刚刚起步。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

中国芯片生产自主供给比例持续提升,从 2010 年 10.2%提升到 2020 年 15.9%,剔除中国设有外资晶圆厂, 自主比例仍很低,2020 年中国公司生产的芯片仅占其用量的 5.9%。存储器、通讯芯片、各类传感器等领域集 成电路的市场规模不断提高,预计到 2025 年,中国半导体芯片市场规模将达到 2230 亿元。中国本土制造的芯 片占比预计持续提升,由 2020 年的 15.9%提升到 2025 年的 19.4%。去年在中国制造的价值 227 亿美元的 IC 中, 总部位于中国的公司仅生产了 83 亿美元(36.5%),仅占中国 1,434 亿美元 IC 市场的 5.9%。而台积电,SK 海 力士,三星,英特尔,联电和其他在中国设有 IC 晶圆厂的海外公司则生产了其余的产品。ICInsights 估计,在 中国公司生产的 83 亿美元 IC 中,约有 23 亿美元来自 IDM,60 亿美元来自中芯国际等纯粹的代工厂。

全球半导体产业预计将继续向中国大陆转移,2021-2022 年中国预计将建 8 座高产能晶圆厂。纵观全球半 导体产业的发展历程,经历了由美国向日本、向韩国和中国台湾地区及中国大陆的几轮产业转移。目前中国大 陆正处于新一代智能手机、物联网、 人工智能、5G 通信等行业快速崛起的进程中,已成为全球最重要的半导 体应用和消费市场之一。根据国际半导体协会(SEMI)的统计数据,2017 年到 2020 年期间,全球将有 62 座 新晶圆厂投产,其中将有 26 座新晶圆厂座落中国大陆, 占比达 42%。新晶圆厂从建立到生产的周期大概为 2 年,未来几年将是中国大陆半导体产业的快速发展期。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

随着行业景气度回暖,国产化率逐步提升,以中芯国际和华宏为代表的逻辑 Foundry 厂近五年持续扩产, 以长江存储及合肥长鑫为代表的 Memory 厂商已经实现零的突破,产能逐步进入量产阶段,这对国内设备需求 拉动具有重大意义。其中长江存储总投资 240 亿美元,平均单个 Fab 投资额就达到 80 亿美金以上,对应的设备 投资接近 60 亿美金。

国产设备采购比例仍处于较低水平(2020 年占采购总额的 7%),未来国产设备发展空间广阔。根据 2020 年中国晶圆厂设备采购占比来看,来自美国采购的设备占比超过 50%,日本 17%,荷兰 16%,中国 7%,其他 7%。中国本土的半导体设备仍然占比较小的比例,目前国内大概有将近 20 个半导体前端设备的公司,随着国 内晶圆厂的扩产以及国产设备技术迭代,未来国产设备发展空间广阔。

国内半导体设备厂商产品线逐步完善,在各自优势环节逐渐突破。根据本土主要晶圆厂设备采购情况的统 计数据,目前去胶设备国产化率达到 90%以上,主要代表厂家为屹唐半导体;清洗设备国产化率 20%左右 ,主 要代表厂家为盛美半导体、北方华创、至纯科技,刻蚀设备国产化率为 20%,主要代表厂家为中微公司、北方 华创、屹唐半导体;热处理设备国产化率为 20%左右,主要代表厂家为北方华创、屹唐半导体;PVD 设备国产 化率为10%,主要代表厂家为北方华创;CMP 设备国产化率为 10%,主要代表厂家为华海清科;涂胶显影设备 实现零的突破,主要代表厂家为芯源微;光刻设备预计实现零的突破,主要代表厂家为上海微;离子注入机实 现零突破,代表厂家为万业企业(凯世通);量测设备实现零的突破,代表厂家为精测电子;此外,华峰测控与长川科技实现了测试设备的比较大突破。

四、全球半导体设备集中度高且呈上升趋势

4.1 半导体设备以晶圆制造为主,国外企业占据主导地位

晶圆制造设备占据主导,以沉积、刻蚀、光刻为主。芯片制造主要分为硅片生产、集成电路设计、晶圆片 制造和芯片封装测试四个步骤,其中前三个部分与景晶圆制造设备密切相关,第四部分主要依靠封装和测试设 备。从全球半导体设备市场结构出发,晶圆制造设备占比最高达到 85%,封装和测试设备两项后道工艺分别占 据 6%和 9%。自 2014 年起,晶圆制造设备便占据 78%的市场份额,并且实现连续增长,重要性逐年显著。实际上,后摩尔时代芯片制程压缩空间逐步达到上限,经济成本不断攀升,晶圆制造和封装将面临更高的技术挑战, 半导体设备市场也将在下游需求的强力推动下迎来更长时间的增长。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

晶圆制造设备包括沉积、刻蚀、光刻、清洗、CMP、过程控制、热处理、过程控制等细分品类,其中尤以 沉积、刻蚀和光刻为主。2011 年三者份额占比为 48%,近十年份额稳中有升,并于 2020 年达到 60%的历史高 点。在芯片制造中晶圆制造设备包括沉积、刻蚀、光刻、清洗、CMP、过程控制、热处理、过程控制等细分品 类,其中尤以沉积、刻蚀和光刻,需要通过沉积、刻蚀和光刻不断生成精致复杂的电路结构,这三个步骤的技 术高度决定了晶圆厂的制程能力和芯片的整体性能,因此被视为核心工艺。同时,过程控制也是晶圆制造的重 要工序,主要用于消除支撑干扰,提高产品良率,对 IC 制造的成本回收也重要意义,其市场占比保持稳定。此 外,随着晶圆表面污染控制要求加强,为避免影响芯片良率和性能,清洗工艺变得愈发重要。预计后摩尔时代 影响下,清洗、过程控制等步骤将实现占比提高,先进封装作为重要的发展方向将推动封装市场的增长。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

国外企业占据市场主导地位,沉积、刻蚀和光刻为主要设备投资。全球半导体设备市场仍以国外企业为主, 2016 年和 2017 年应用材料(AMAT)、阿斯麦(ASML)、东京电子(TEL)、泛林半导体(Lam)和科磊半导体(KLA)五家企 业合计市场占比分别达到 90%和 92%,市场高度集中。这一现象在 2018 年开始缓解,CR5 逐年下降,并于 2020 年来到 61%的历史低位。2018 年面临芯片价格下跌,芯片制造商降低上游设备需求,贸易战也同时限制了厂商 的资本支出,对全球的领先半导体设备供应商造成了较大的经营压力。同时,该影响蔓延至 2019 年,同年出现 了智能手机的销售疲软,进一步引起市场波动。

2020 年至今,受到芯片供给影响和智能手机出货量改善,半导 体行业迎来了中长景气期,诸多半导体设备企业趁机扩大市场份额,行业竞争格局加剧。受益于政策支持和全 球市场格局改善,中国的半导体企业逐步打破国外垄断,部分实现国产替代。芯源微、屹唐半导体、北方华创 等国内企业已在涂胶显影、刻蚀、清洗、刻蚀等重要领域实现突破,尽管市场份额较低,但国产化大背景下预 计长期内仍有较大的成长空间。此外,全球视野下晶圆制造设备投资中表现出明显倾向,主要以沉积、光刻、 刻蚀为主,合计占比达 75%。沉积设备中 CVD 全部投资占比为 15%,PVD 为 10%;刻蚀设备中干法占比 12%, 湿法占比 8%,CVD 沉积和干法刻蚀仍为主流。这一投资趋势与市场份额表现趋近,在科技产品更迭加速和芯片 需求上升影响下,晶圆厂商资本支出大幅回升,呈现周期性上升趋势。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

4.2 细分市场国外企业占比集中,国产替代加速突破

4.2.1 光刻、刻蚀市场规模最大,国内企业积极布局

光刻设备被 ASML 垄断,国内自研难度仍然巨大。2020 年全球光刻设备市场规模约为 135 亿元,占全球半 导体制造设备市场的 21%,是规模最大的细分领域之一。该领域由 ASML 实现垄断,市占率达到 84%,Nikon、 Canon 分别占比 7%和 5%。ASML 研制的 EUV 光刻机使用波长为 13.5nm 的极紫外光,具备光刻分辨率高、生产 效率强、光刻工艺更为简便的特点,甚至可以实现 7nm 乃至 5nm 的制程精度,高端工艺极为领先。目前,全 球光刻机的出货量约为 300-400 台,平均价格为 3000 万美元。其中,ArFi 与 KrF 光刻机均为 90-100 台,EUV 出 货量为 34 台,后者平均客单价超过 1 亿美元。同时,EUV 主要客户为台积电、三星和英特尔,三家占比超过 90%。

中国大陆一直不断进行光刻机自研,上海微电子装备股份有限公司已经实现了最高为 65nm 制程的光刻机 研发,但该精度水平仍与国际先进水平差距较大。受益于 EUV 光刻机,台积电和三星的 5nm 芯片已经投入量产, 且在积极推进 3nm 的工艺制程,而中芯国际还处在 14nm 的产能积累阶段。后摩尔时代,持续压缩制程精度必 须依靠顶尖光刻机技术,而中国自研光刻机仍然具有较大壁垒,这意味着国产芯片制程精度将无法追赶世界领 先水平,芯片产业仍将处于劣势地位。在光刻领域,以 ASML 为代表的外企仍具有极高的护城河和技术壁垒, 预计中国大陆在较长期内仍难以打破国外垄断,芯片自研领域也将受到阻碍。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

刻蚀市场以干法工艺为主,国产替代进程较为成熟。刻蚀设备近年来增速显著,整体占比从 2011 年 11% 发展为 2020 年的 21%,同年市场规模约为 137 亿美元,干法刻蚀规模接近 137 亿美元。介质刻蚀和导体刻蚀为 干法工艺的核心,市场规模分别为 60 亿美元和 76 亿美元。目前,刻蚀领域仍以国外企业主导,Lam、TEL、AMAT 市场占比分别为 47%、27%和 17%,CR3 为 91%,市场高度集中。导体刻蚀领域,Lam 长期市占率超过 50%,其 次为 AMAT 占据 30%份额;介质刻蚀领域,TEL 和 Lam 分别占据 50%和 40%的份额,呈现垄断格局。后摩尔时 代面对制程压缩的需求,刻蚀工艺的次数和质量必须同步增加,目前 Lam 已实现 DRAM 和 3D NAND Flash 的高 深宽比刻蚀,成本和产品良率都有进一步的改善。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

刻蚀技术壁垒较高,但尚未形成较大分化,是国内国产替代率最高的半导体设备领域。国内刻蚀厂商主要 包括屹唐半导体、中微公司和北方华创,近年整体业绩持续增长,与国际先进企业差距逐渐缩小。中微公司 CCP 刻蚀设备已覆盖 5-65nm 工艺制程和 64 以及 128 层的 3D NAND 晶圆生产,ICP 刻蚀设备也已获得多项重复订单, 在 DRAM、NAND 和逻辑芯片领域均实现规模量产。北方华创 ICP 刻蚀机实现 8 英寸覆盖,成功打破国外垄断, 12 英寸刻蚀设备也在 28nm 节点实现国产替代。屹唐半导体刻蚀设备已用于三星电子、长江存储等国内外知名 存储芯片制造企业客户。目前,中微公司、北方华创、屹唐半导体都在刻蚀细分领域实现技术突破,受益于政 策扶持和研发投入,预计将率先实现国产替代。

4.2.2 沉积、清洗市场集中度高,国产技术持续迭代

沉积工艺以 PVD 和 CVD 为主,国内企业积极布局。2020 年全球薄膜沉积设备市场规模达到 138 亿美元,占 总体份额接近 21%,CVD 为核心工艺类型,市场规模达 89 亿美元。整体来看,沉积设备市场由 AMAT、Lam、 TEL 形成垄断,市占率分别为 42%、19%和 14%,CR4 为 83%,市场较为集中。具体的,CVD 全球供应商主要包 括 AMAT、Lam、TEL、ASML 和 Kokusai,合计占比超过 85%;PVD 市场则呈现寡头格局,AMAT 长期市占率超过 80%,其次还有 KLA、TEL 等。AMAT 开创了有利于晶体管互联的沉积技术,可以帮助 3D 晶体管提升功率和性能, 同时其 PVD 和 CVD 技术也成功地应用于平板显示器领域。

中国大陆的沉积设备市场也相对成熟,其中北方华创和沈阳拓荆是主要参与者。北方华创积极布局 PVD 领 域,目前全球市占率为 3%。其自主研发的 TiN PVD 是侧重于 28-55nm 制程的 12 寸掩膜设备,ALD 设备已成功 实现商用,多个 PVD 工艺产品进入国际供应链市场。同时,北方华创也积极布局 CVD 领域,锚定应用广泛、性 能优异、成本较低的 LPVD 领域,目前已开发出多项相关产品。沈阳拓荆目前拥有 SACVD、12 英寸 PECVD 和 ALD 三个 CVD 系列品类,2020 年累计出货量超过 100 台,国产企业放量持续增加。此外,中微公司也将加码沉积设 备领域,主要包括 CVD 设备及工艺应用的开发。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

清洗设备重要性日益凸显,国产替代进展稳定。2020 年清洗设备市场规模约为 33 亿美元,占总体比例约 为 5%,投资份额整体较低。全球视野下 DNS 公司市占率高达 51%,随后为 TEL(27%)、Lam(12%),市场 CR4 为 90%,呈现高度集中格局。DNS 在晶圆清洗设备市场、洗刷机设备市场和自动清洗台市场均具有完整的产品链 和较高的市占率,国内目前有三家在湿法工艺设备端提供中高阶湿法制程设备,分别是盛美、北方华创和至纯 科技,芯源微清洗设备也在积极跟进。

盛美以 IC 单片清洗设备为主,已走向自研清洗设备道路;北方华创则主 要研究单片和槽式清洗设备;至纯科技单片湿法设备获得国内重要用户的多个订单;芯源微也主要攻克 IC 单片 洗刷领域。目前国产替代进程稳定,但难以快速打破垄断局面。后摩尔时代,随着芯片制程压缩,清洗工艺在 良率保护、有效清洁、精确度等方面发挥愈加重要的作用。尽管目前清洗设备占比较低,但受益于芯片精度的 高要求和沉积、刻蚀、光刻工艺的加强,预计清洗市场将迎来新一轮增长。

4.2.3 涂胶显影和 CMP 市场规模稳定,国内企业已打破垄断

芯源微打破国外垄断,国产替代进程加快。2020年涂胶显影市场规模约为 25 亿美元,占半导体设备比例约为 3%,近年来在设备领域占比稳定。整体来看,涂胶显影市场以 TEL 为中心形成垄断格局,其市占率为 87%, DNS 位居第二占比 10%。TEL 最新研制的 CLEAN TRACK LITHIUS 系列涂胶显影机可用于 300mm的晶圆加工(适用 于 10nm 节点及以上),通过强大的可扩展性、高吞吐量、低占地面积和高效率,满足了各类先进需求,并且完好支持先进封装、高粘度和旋涂硬掩膜应用程序。

国内企业则以芯源微为代表,公司自主研发的前道涂胶显影机已经实现 28nm 的工艺制程,可用于 193nm 光刻工艺的精细化显影和温控处理,以及先进封装、OLED、MEMS 等领域的显影制程。目前,芯源微已经获得来自于华天科技、通富微电、中芯国际等大客户的订单,下游客户 包括国内多数高端封装和 LED 制造企业,部分产品已达国际先进水平,成功打破国外垄断。预计随着 IC 和 LED 产能扩张,在持续的研发投入下,芯源微有望完成技术突破并实现国产替代。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

华清科技打破国外垄断,但国产化率仍有待提高。2020 年 CMP 市场规模为 18 亿美元,占全球半导体设备 市场规模约 3%,近 10 年份额占比保持稳定。目前,全球 CMP 市场以应用材料形成垄断,市占率达到 65%,其次为 Ebara,占比达 24%,市场格局高度集中。应用材料研制的 Mirra CMP 为硅、氧化物、STI、铜的镶嵌提供了150mm 和 200mm 平坦化解决方案,其先进的工艺控制能力,可实现工艺制程的极佳均匀度和效率。同时,华 海清科已经实现 12 英寸 CMP 设备的量产,其设备已经销向中芯国际、华虹集团等芯片制造客户,国产化率为 10%,成功打破国外垄断。

目前,华海清科已经对 14nm 产品进行验证,预计将在中期内实现 14-28nmCMP 设备 的国产替代。此外,盛美半导体所研发的无应力抛光技术可应用于 3-5nm 以下的铜互连工艺,可大幅节省 CMP 工艺耗材。然而,根据华力微电子公司的 CMP 招标数据,华海清科和天隽机电合计占比 18%,主要份额仍为应 用材料所获,其他公司招标中国产占比也几乎低于 25%,CMP 设备国产化率仍需提高。

4.2.4 过程控制设备国产替代缓慢,热处理市场国内企业布局活跃

过程控制设备国外垄断,国产进程缓慢。2020 年过程控制设备市场规模为 73 亿美元,占比约为 12%,近 十年比重波动但总体稳定。目前,过程控制市场以国外企业为主,KLA、AMAT 和 Hitachi 市占率分别为 52%、16% 和 10%,市场 CR3 为 78%,整体较为集中。KLA 的半导体过程控制业务为 IC 制造企业提供完整的计量、检测和 数据分析服务,旨在提高半导体工艺的目标良率,同时缩短上市周期。

公司的计量和检测业务在 5nm 和 3nm 晶 圆领域需求旺盛,且在图案化细分晶圆检测领域占据近 70%的市场份额,是少数能够提供高端过程控制服务的国际企业。由于过程控制并非半导体前道工艺中的最为重点的领域,我国在该方面的国产替代进程较为缓慢,目前精测电子子公司上海精测膜厚产品(含独立式膜厚设备)已取得国内一线客户的批量重复订单、OCD 量测 设备以及半导体电子束检测设备 eViewTM 全自动晶圆缺陷复查设备也已实现交付国内客户。预计随着沉积、刻蚀、光刻、清洗等领域的国产替代进一步突破后,与之配套的过程控制设备或将迎来增长,国产替代也将逐步 启动。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

全球热处理设备集中度高,国内企业布局积极。2020 年全球热处理设备市场规模约 15 亿美元,占半导体 设备市场比例为 3%。全球视野下,热处理市场仍以国外企业为主,其中 AMAT、Axcelis 和 Hitachi 分别占比 62%、 12%和 10%,行业 CR3 为 84%,集中度很高。热处理市场主要分为氧化、扩散和退火三类,基本设备包括卧式 炉、立式炉和快速热处理(RTP)。应用材料最新 RTP 产品 Producer Pyra 主要针对 BEOL 和 MOL 空间中的低温度范 围,可实现晶圆的退火均匀性,其多对腔室技术可以缩短产品周期,在批量模式下实现较高的生产效率。国内 热处理设备企业主要包括北方华创和屹唐半导体,主要针对 12 英寸产线的设备研制。

北方华创的立式炉和卧式 炉可用于 28nm 的 IC、功率器件和先进封装的氧化扩散工艺,技术水平国内领先,已被多个主流客户所采纳。 根据 Gartner 统计数据,2020 年,应用材料占有的全球快速热处理市场份额达到 69.72%,屹唐半导体作为唯 一一家中国企业以 11.50%的市场份额列居第二。屹唐半导体则针对 RTP 设备进行研制,已拥有专注于 DRAM、 NAND 和逻辑芯片量产的 RTP 退火设备,其产品在 RTP 图形效应、晶圆表面测温和控温等关键数据表现出色, 目前 RTP 全球市占率位列第二。热处理市场国产进程较好,预计长期内将率先实现 RTP 国产替代,氧化扩散工 艺也将逐步跟进。

​​

半导体设备产业深度报告:高景气及国产化下的投资机会(上篇)

​​

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库官网】。

「链接」

相关内容

pmd虚拟货币交易平台文档下载: PDF DOC TXT
您可能感兴趣的文章
24h快讯
违法生育包括哪些情形,什么叫违法生育
一、教师如果违法计划生育会有什么处罚如果是教师,违反计划生育规定,应由计生机......
2024-04-05 法规
自残违法吗?自残算不算犯罪
一、教唆他人自残构成犯罪吗教唆他人自残有故意杀人的嫌疑,所以规劝大家不要以身......
2024-04-05 法规
新昌机动车违法?机动车违章处理时间限制
一、新昌货车通行证怎么办理1.办理新昌货车通行证是可行的。2.因为新昌货车通......
2024-04-05 法规
违法举报网站,公安干警违法举报平台
一、国家有奖举报平台以举报违章车辆为例,有奖举报平台如下:为加大监管力度,营......
2024-04-05 法规
查看更多